Class: HDLRuby::Low::TypeVector

Inherits:
Type
  • Object
show all
Includes:
Ltype
Defined in:
lib/HDLRuby/hruby_db.rb,
lib/HDLRuby/hruby_low.rb,
lib/HDLRuby/hruby_low2c.rb,
lib/HDLRuby/hruby_low2hdr.rb,
lib/HDLRuby/hruby_low2vhd.rb,
lib/HDLRuby/hruby_verilog.rb,
lib/HDLRuby/hruby_low2high.rb,
lib/HDLRuby/hruby_low_mutable.rb,
lib/HDLRuby/hruby_low_skeleton.rb,
lib/HDLRuby/hruby_low_without_namespace.rb

Overview

Extends the TypeVector class with functionality for breaking hierarchical types.

Direct Known Subclasses

High::TypeVector, TypeFloat, TypeSigned, TypeUnsigned

Constant Summary

Constants included from Low2Symbol

Low2Symbol::Low2SymbolPrefix, Low2Symbol::Low2SymbolTable, Low2Symbol::Symbol2LowTable

Instance Attribute Summary collapse

Attributes inherited from Type

#name

Attributes included from Hparent

#parent

Instance Method Summary collapse

Methods included from Ltype

included, #ltype?

Methods inherited from Type

#boolean?, #hierarchical?, #leaf?, #range?, #regular?, #set_name!, #struct?, #to_vector, #types?

Methods included from Low2Symbol

#to_sym

Methods included from Hparent

#hierarchy, #scope

Constructor Details

#initialize(name, base, range) ⇒ TypeVector

Creates a new vector type named +name+ from +base+ type and with +range+. NOTE: if +range+ is a positive integer it is converted to (range-1)..0, if it is a negative integer it is converted to 0..(-range-1)



137
138
139
140
141
142
# File 'lib/HDLRuby/hruby_db.rb', line 137

def initialize(name,base,range)
    # Ensure base si a HDLRuby::Low type.
    base = Type.get(base)
    # Create the type.
    super(name,base,range)
end

Instance Attribute Details

#baseObject (readonly)

The base type of the vector



1606
1607
1608
# File 'lib/HDLRuby/hruby_low.rb', line 1606

def base
  @base
end

#rangeObject (readonly)

The range of the vector.



1619
1620
1621
# File 'lib/HDLRuby/hruby_low.rb', line 1619

def range
  @range
end

Instance Method Details

#base?Boolean

Tells if the type has a base.

Returns:

  • (Boolean)


1614
1615
1616
# File 'lib/HDLRuby/hruby_low.rb', line 1614

def base?
    return true
end

#break_types!(types) ⇒ Object

Breaks the hierarchical types into sequences of type definitions. Assumes to_upper_space! has been called before. +types+ include the resulting types.



302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
# File 'lib/HDLRuby/hruby_low_without_namespace.rb', line 302

def break_types!(types)
    if self.base.is_a?(TypeVector) || self.base.is_a?(TypeTuple) ||
       self.base.is_a?(TypeStruct) then
        # Need to break
        # First recurse on the base.
        nbase = self.base.break_types!(types)
        # # Maybe such a type already exists.
        # ndef = types[nbase]
        # if ndef then
        #     # Yes, use it.
        #     self.set_base!(ndef.clone)
        # else
        #     # No change it to a type definition
        #     ndef = TypeDef.new(HDLRuby.uniq_name,nbase)
        #     self.set_base!(ndef)
        #     # And add it to the types by structure.
        #     types[nbase] = ndef
        # end
        # Sets the base.
        self.set_base!(nbase)
        # And create a new type from current type.
        # Maybe the new type already exists.
        ndef = types[self]
        return ndef if ndef # Yes, already exists.
        # No, create and register a new typedef.
        ndef = TypeDef.new(HDLRuby.uniq_name,self)
        types[self] = ndef
        return ndef
    end
    return self
end

#dirObject

Gets the direction of the range.



1704
1705
1706
# File 'lib/HDLRuby/hruby_low.rb', line 1704

def dir
    return (@range.last - @range.first)
end

#directionObject

Get the direction of the type, little or big endian.



1699
1700
1701
# File 'lib/HDLRuby/hruby_low.rb', line 1699

def direction
    return @range.first < @range.last ? :big : :little
end

#each_type_deep(&ruby_block) ⇒ Object Also known as: each_deep

Iterates over the types deeply if any.



1749
1750
1751
1752
1753
1754
1755
1756
# File 'lib/HDLRuby/hruby_low.rb', line 1749

def each_type_deep(&ruby_block)
    # No ruby block? Return an enumerator.
    return to_enum(:each_type_deep) unless ruby_block
    # A ruby block? First apply it to current.
    ruby_block.call(self)
    # And recurse on the base.
    @base.each_type_deep(&ruby_block)
end

#eql?(obj) ⇒ Boolean

Comparison for hash: structural comparison.

Returns:

  • (Boolean)


1650
1651
1652
1653
1654
1655
1656
1657
1658
# File 'lib/HDLRuby/hruby_low.rb', line 1650

def eql?(obj)
    # General type comparison.
    return false unless super(obj)
    # Specific comparison.
    return false unless obj.is_a?(TypeVector)
    return false unless @base.eql?(obj.base)
    return false unless @range.eql?(obj.range)
    return true
end

#equivalent?(type) ⇒ Boolean

Tell if +type+ is equivalent to current type.

NOTE: type can be compatible while not being equivalent, please refer to hruby_types.rb for type compatibility.

Returns:

  • (Boolean)


1732
1733
1734
1735
1736
# File 'lib/HDLRuby/hruby_low.rb', line 1732

def equivalent?(type)
    return (type.is_a?(TypeVector) and
            @range == type.range
            @base.equivalent?(type.base) )
end

#fixed?Boolean

Tells if the type is fixed point.

Returns:

  • (Boolean)


1719
1720
1721
# File 'lib/HDLRuby/hruby_low.rb', line 1719

def fixed?
    return @base.signed?
end

#float?Boolean

Tells if the type is floating point.

Returns:

  • (Boolean)


1724
1725
1726
# File 'lib/HDLRuby/hruby_low.rb', line 1724

def float?
    return @base.float?
end

#hashObject

Hash function.



1661
1662
1663
# File 'lib/HDLRuby/hruby_low.rb', line 1661

def hash
    return [super,@base,@range].hash
end

#maxObject

Gets the type max value if any.



1680
1681
1682
1683
1684
1685
1686
# File 'lib/HDLRuby/hruby_low.rb', line 1680

def max
    if (self.signed?) then
        return (2**(self.width-1))-1
    else
        return (2**(self.width))-1
    end
end

#minObject

Gets the type min value if any. Default: not defined.



1690
1691
1692
1693
1694
1695
1696
# File 'lib/HDLRuby/hruby_low.rb', line 1690

def min
    if (self.signed?) then
        return -(2**(self.width-1))
    else
        return 0
    end
end

#set_base!(type) ⇒ Object

Sets the +base+ type.



311
312
313
314
315
316
317
318
# File 'lib/HDLRuby/hruby_low_mutable.rb', line 311

def set_base!(type)
    # Check and set the base
    unless type.is_a?(Type)
        raise AnyError,
              "Invalid class for VectorType base: #{base.class}."
    end
    @base = type
end

#set_range!(ranage) ⇒ Object

Sets the +range+.



321
322
323
324
325
326
327
328
329
330
331
332
# File 'lib/HDLRuby/hruby_low_mutable.rb', line 321

def set_range!(ranage)
    # Check and set the range.
    if range.respond_to?(:to_i) then
        # Integer case: convert to 0..(range-1).
        range = (range-1)..0
    elsif
        # Other cases: assume there is a first and a last to create
        # the range.
        range = range.first..range.last
    end
    @range = range
end

#signed?Boolean

Tells if the type signed.

Returns:

  • (Boolean)


1709
1710
1711
# File 'lib/HDLRuby/hruby_low.rb', line 1709

def signed?
    return @base.signed?
end

#sizeObject

Gets the size of the type in number of base elements.



1666
1667
1668
# File 'lib/HDLRuby/hruby_low.rb', line 1666

def size
    return (@range.first.to_i - @range.last.to_i).abs + 1
end

#to_c(res, level = 0) ⇒ Object

Generates the C text of the equivalent HDLRuby code. +level+ is the hierachical level of the object. def to_c(level = 0)



609
610
611
612
613
614
615
616
617
# File 'lib/HDLRuby/hruby_low2c.rb', line 609

def to_c(res,level = 0)
    # The resulting string.
    # return "get_type_vector(#{self.base.to_c(level+1)}," +
    #        "#{self.size})"
    res << "get_type_vector("
    self.base.to_c(res,level+1)
    res << ",#{self.size})"
    return res
end

#to_hdr(level = 0) ⇒ Object

Generates the text of the equivalent hdr text. +level+ is the hierachical level of the object.



195
196
197
198
199
200
201
202
203
204
205
# File 'lib/HDLRuby/hruby_low2hdr.rb', line 195

def to_hdr(level = 0)
    # The resulting string.
    res = ""
    # Generate the base.
    res << self.base.to_hdr(level)
    # Generate the range.
    res << "[" << self.range.first.to_hdr(level) << ".." <<
    self.range.last.to_hdr(level) << "]"
    # Return the result.
    return res
end

#to_highObject

Creates a new high type vector.



79
80
81
82
83
# File 'lib/HDLRuby/hruby_low2high.rb', line 79

def to_high
    return HDLRuby::High::TypeVector.new(self.name,
                                             self.base.to_high,
                                             self.range)
end

#to_verilogObject

Converts the system to Verilog code.



1471
1472
1473
1474
1475
1476
1477
# File 'lib/HDLRuby/hruby_verilog.rb', line 1471

def to_verilog
    # if self.base.name.to_s != "bit"
    if VERILOG_BASE_TYPES.include?(self.base.name.to_s)
        return " #{self.base.name.to_s}[#{self.range.first}:#{self.range.last}]"
    end
    return " [#{self.range.first}:#{self.range.last}]"
end

#to_vhdl(level = 0) ⇒ Object

Generates the text of the equivalent HDLRuby::High code. +level+ is the hierachical level of the object.



652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
# File 'lib/HDLRuby/hruby_low2vhd.rb', line 652

def to_vhdl(level = 0)
    # The resulting string.
    res = ""
    # Depending on the base.
    if self.base.class < Type then
        # The base is not a leaf, therefore the type is a VHDL array.
        # NOTE: array are always valid if used in type definition,
        # it is assumed that break_types! from
        # hruby_low_without_namespace.rb is used.
        res << "array ("
        res << self.range.first.to_vhdl(level)
        if self.range.first >= self.range.last then
            res << " downto "
        else
            res << " to "
        end
        res << self.range.last.to_vhdl(level)
        res << ") of "
        # Now generate the base.
        res << base.to_vhdl(level+1)
    else
        # The base is a leaf, therefore the type is VHDL vector.
        # Depending on the base name.
        case(base.name)
        when :bit
            # std_logic_vector.
            res << "std_logic_vector"
        when :signed
            res << "signed"
        when :unsigned
            res << "unsigned"
        else
            res << Low2VHDL.vhdl_name(self.base.name)
        end
        # Now the range
        res << "("
        res << self.range.first.to_vhdl(level)
        left = self.range.first
        right = self.range.last
        left = left.content if left.is_a?(Value)
        right = right.content if right.is_a?(Value)
        if left >= right then
            res << " downto "
        else
            res << " to "
        end
        res << self.range.last.to_vhdl(level)
        res << ")"
    end
    # Return the result.
    return res
end

#unsigned?Boolean

Tells if the type is unsigned.

Returns:

  • (Boolean)


1714
1715
1716
# File 'lib/HDLRuby/hruby_low.rb', line 1714

def unsigned?
    return @base.unsigned?
end

#vector?Boolean

Tells if the type of of vector kind.

Returns:

  • (Boolean)


1609
1610
1611
# File 'lib/HDLRuby/hruby_low.rb', line 1609

def vector?
    return true
end

#widthObject

Gets the bitwidth of the type, nil for undefined.

NOTE: must be redefined for specific types.



1673
1674
1675
1676
1677
# File 'lib/HDLRuby/hruby_low.rb', line 1673

def width
    first = @range.first.to_i
    last  = @range.last.to_i
    return @base.width * ((first-last).abs + 1)
end